Follow
Taesu Kim
Taesu Kim
SqueezeBits Inc.
Verified email at squeezebits.com
Title
Cited by
Cited by
Year
Area-Efficient and Variation-Tolerant In-Memory BNN Computing using 6T SRAM Array
J Kim, J Koo, T Kim, Y Kim, H Kim, S Yoo, JJ Kim
2019 Symposium on VLSI Circuits, C118-C119, 2019
782019
Improved Synapse Device With MLC and Conductance Linearity Using Quantized Conduction for Neuromorphic Systems
S Lim, C Sung, H Kim, T Kim, J Song, JJ Kim, H Hwang
IEEE Electron Device Letters 39 (2), 312-315, 2018
652018
Effect of conductance linearity and multi-level cell characteristics of TaOx-based synapse device on pattern recognition accuracy of neuromorphic system
C Sung, S Lim, H Kim, T Kim, K Moon, J Song, JJ Kim, H Hwang
Nanotechnology 29 (11), 115203, 2018
472018
Input voltage mapping optimized for resistive memory-based deep neural network hardware
T Kim, H Kim, J Kim, JJ Kim
IEEE Electron Device Letters 38 (9), 1228-1231, 2017
472017
OWQ: Lessons learned from activation outliers for weight quantization in large language models
C Lee, J Jin, T Kim, H Kim, E Park
arXiv preprint arXiv:2306.02272, 2023
292023
Deep neural network optimized to resistive memory with nonlinear current-voltage characteristics
H Kim, T Kim, J Kim, JJ Kim
ACM Journal on Emerging Technologies in Computing Systems (JETC) 14 (2), 1-17, 2018
282018
Efficient Synapse Memory Structure for Reconfigurable Digital Neuromorphic Hardware
J Kim, J Koo, T Kim, JJ Kim
Frontiers in Neuroscience 12, 2018
232018
Viterbi-based pruning for sparse matrix with fixed and high index compression ratio
D Lee, D Ahn, T Kim, PI Chuang, JJ Kim
International Conference on Learning Representations, 2018
222018
Double Viterbi: Weight encoding for high compression ratio and fast on-chip reconstruction for deep neural network
D Ahn, D Lee, T Kim, JJ Kim
International Conference on Learning Representations, 2018
132018
A 44.1 TOPS/W Precision-Scalable Accelerator for Quantized Neural Networks in 28nm CMOS
S Ryu, H Kim, W Yi, J Koo, E Kim, Y Kim, T Kim, JJ Kim
2020 IEEE Custom Integrated Circuits Conference (CICC), 1-4, 2020
112020
Configurable BCAM/TCAM Based on 6T SRAM Bit Cell and Enhanced Match Line Clamping
J Koo, E Kim, S Yoo, T Kim, S Ryu, JJ Kim
2019 IEEE Asian Solid-State Circuits Conference (A-SSCC), 223-226, 2019
82019
V-LSTM: An efficient LSTM accelerator using fixed nonzero-ratio viterbi-based pruning
T Kim, D Ahn, D Lee, JJ Kim
IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2023
62023
Time-step interleaved weight reuse for LSTM neural network computing
N Park, Y Kim, D Ahn, T Kim, JJ Kim
Proceedings of the ACM/IEEE International Symposium on Low Power Electronics …, 2020
52020
SPRITE: Sparsity-Aware Neural Processing Unit with Constant Probability of Index-Matching
S Ryu, Y Oh, T Kim, D Ahn, JJ Kim
2021 Design, Automation & Test in Europe Conference & Exhibition (DATE), 663-666, 2021
32021
Squeezing Large-Scale Diffusion Models for Mobile
J Choi, M Kim, D Ahn, T Kim, Y Kim, D Jo, H Jeon, JJ Kim, H Kim
arXiv preprint arXiv:2307.01193, 2023
22023
Searching for Robust Binary Neural Networks via Bimodal Parameter Perturbation
D Ahn, H Kim, T Kim, E Park, JJ Kim
Proceedings of the IEEE/CVF Winter Conference on Applications of Computer …, 2023
12023
Neuromorphic processor and operating method thereof
JJ Kim, J Kim, T Kim
US Patent 11,694,067, 2023
2023
Neuromorphic system and operating method thereof
JJ Kim, J Kim, T Kim
US Patent 11,556,765, 2023
2023
Weight matrix circuit and weight matrix input circuit
JJ Kim, T Kim, H Kim
US Patent 11,216,728, 2022
2022
The system can't perform the operation now. Try again later.
Articles 1–19