Takip et
Ting-Chi Wang
Ting-Chi Wang
Professor of Computer Science, National Tsing Hua University, Taiwan
cs.nthu.edu.tw üzerinde doğrulanmış e-posta adresine sahip - Ana Sayfa
Başlık
Alıntı yapanlar
Alıntı yapanlar
Yıl
NTHU-Route 2.0: A fast and stable global router
YJ Chang, YT Lee, TC Wang
2008 IEEE/ACM International Conference on Computer-Aided Design, 338-343, 2008
1372008
An optimal algorithm for floorplan area optimization
TC Wang, DF Wong
Proceedings of the 27th ACM/IEEE design automation conference, 180-186, 1991
1271991
Recent research and emerging challenges in physical design for manufacturability/reliability
CW Lin, MC Tsai, KY Lee, TC Chen, TC Wang, YW Chang
2007 Asia and South Pacific Design Automation Conference, 238-243, 2007
1182007
Post-routing redundant via insertion for yield/reliability improvement
KY Lee, TC Wang
Proceedings of the 2006 Asia and South Pacific Design Automation Conference …, 2006
1072006
Through-silicon via planning in 3-D floorplanning
MC Tsai, TC Wang, TT Hwang
IEEE transactions on very large scale integration (vlsi) systems 19 (8 …, 2010
962010
Congestion-constrained layer assignment for via minimization in global routing
TH Lee, TC Wang
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2008
952008
NTHU-Route 2.0: a robust global router for modern designs
YJ Chang, YT Lee, JR Gao, PC Wu, TC Wang
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2010
742010
Optimal floorplan area optimization
TC Wang, DF Wong
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 1992
731992
A new global router for modern designs
JR Gao, PC Wu, TC Wang
2008 Asia and South Pacific Design Automation Conference, 232-237, 2008
672008
Power minization in LUT-based FPGA technology mapping
ZH Wang, EC Liu, J Lai, TC Wang
Proceedings of the 2001 Asia and South Pacific Design Automation Conference …, 2001
562001
Fast fixed-outline 3-D IC floorplanning with TSV co-placement
CR Li, WK Mak, TC Wang
IEEE transactions on very large scale integration (VLSI) systems 21 (3), 523-532, 2012
542012
Post-routing redundant via insertion and line end extension with via density consideration
KY Lee, TC Wang, KY Chao
Proceedings of the 2006 IEEE/ACM international conference on Computer-aided …, 2006
492006
Fast and optimal redundant via insertion
KY Lee, CK Koh, TC Wang, KY Chao
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2008
472008
A fast and stable algorithm for obstacle-avoiding rectilinear Steiner minimal tree construction
PC Wu, JR Gao, TC Wang
2007 Asia and South Pacific Design Automation Conference, 262-267, 2007
462007
Module placement with boundary constraints using the sequence-pair representation
J Lai, MS Lin, TC Wang, LC Wang
Proceedings of the 2001 Asia and South Pacific design automation conference …, 2001
452001
Routing for symmetric FPGAs and FPICs
Y Sun, TC Wang, CK Wong, CL Liu
IEEE transactions on computer-aided design of integrated circuits and …, 1997
451997
Maze routing with OPC consideration
YR Wu, MC Tsai, TC Wang
Proceedings of the 2005 Asia and South Pacific Design Automation Conference …, 2005
412005
Timing-aware layer assignment for advanced process technologies considering via pillars
G Liu, X Zhang, W Guo, X Huang, WH Liu, KY Chao, TC Wang
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2021
372021
Density-aware detailed placement with instant legalization
S Popovych, HH Lai, CM Wang, YL Li, WH Liu, TC Wang
Proceedings of the 51st Annual Design Automation Conference, 1-6, 2014
342014
Optimal post-routing redundant via insertion
KY Lee, CK Koh, TC Wang, KY Chao
Proceedings of the 2008 international symposium on Physical design, 111-117, 2008
342008
Sistem, işlemi şu anda gerçekleştiremiyor. Daha sonra yeniden deneyin.
Makaleler 1–20