Follow
Nandita Vijaykumar
Nandita Vijaykumar
Assistant Professor, University of Toronto
Verified email at cs.toronto.edu - Homepage
Title
Cited by
Cited by
Year
Gaia:{Geo-Distributed} machine learning approaching {LAN} speeds
K Hsieh, A Harlap, N Vijaykumar, D Konomis, GR Ganger, PB Gibbons, ...
14th USENIX Symposium on Networked Systems Design and Implementation (NSDI …, 2017
4852017
Transparent offloading and mapping (TOM) enabling programmer-transparent near-data processing in GPU systems
K Hsieh, E Ebrahimi, G Kim, N Chatterjee, M O'Connor, N Vijaykumar, ...
ACM SIGARCH Computer Architecture News 44 (3), 204-216, 2016
3032016
Accelerating pointer chasing in 3D-stacked memory: Challenges, mechanisms, evaluation
K Hsieh, S Khan, N Vijaykumar, KK Chang, A Boroumand, S Ghose, ...
2016 IEEE 34th International Conference on Computer Design (ICCD), 25-32, 2016
2412016
ChargeCache: Reducing DRAM latency by exploiting row access locality
H Hassan, G Pekhimenko, N Vijaykumar, V Seshadri, D Lee, O Ergin, ...
2016 IEEE International Symposium on High Performance Computer Architecture …, 2016
1722016
SoftMC: A flexible and practical open-source infrastructure for enabling experimental DRAM studies
H Hassan, N Vijaykumar, S Khan, S Ghose, K Chang, G Pekhimenko, ...
2017 IEEE International Symposium on High Performance Computer Architecture …, 2017
1382017
A case for core-assisted bottleneck acceleration in GPUs: enabling flexible data compression with assist warps
N Vijaykumar, G Pekhimenko, A Jog, A Bhowmick, R Ausavarungnirun, ...
ACM SIGARCH Computer Architecture News 43 (3S), 41-53, 2015
1272015
Smash: Co-designing software compression and hardware-accelerated indexing for efficient sparse matrix operations
K Kanellopoulos, N Vijaykumar, C Giannoula, R Azizi, S Koppula, ...
Proceedings of the 52nd annual IEEE/ACM international symposium on …, 2019
912019
A case for toggle-aware compression for GPU systems
G Pekhimenko, E Bolotin, N Vijaykumar, O Mutlu, TC Mowry, SW Keckler
2016 IEEE International Symposium on High Performance Computer Architecture …, 2016
882016
DAMOV: A new methodology and benchmark suite for evaluating data movement bottlenecks
GF Oliveira, J Gómez-Luna, L Orosa, S Ghose, N Vijaykumar, I Fernandez, ...
IEEE Access 9, 134457-134502, 2021
872021
Zorua: A holistic approach to resource virtualization in GPUs
N Vijaykumar, K Hsieh, G Pekhimenko, S Khan, A Shrestha, S Ghose, ...
2016 49th Annual IEEE/ACM International Symposium on Microarchitecture …, 2016
812016
Crow: A low-cost substrate for improving dram performance, energy efficiency, and reliability
H Hassan, M Patel, JS Kim, AG Yaglikci, N Vijaykumar, NM Ghiasi, ...
Proceedings of the 46th International Symposium on Computer Architecture …, 2019
742019
Syncron: Efficient synchronization support for near-data-processing architectures
C Giannoula, N Vijaykumar, N Papadopoulou, V Karakostas, I Fernandez, ...
2021 IEEE International Symposium on High-Performance Computer Architecture …, 2021
732021
The locality descriptor: A holistic cross-layer abstraction to express data locality in GPUs
N Vijaykumar, E Ebrahimi, K Hsieh, PB Gibbons, O Mutlu
2018 ACM/IEEE 45th Annual International Symposium on Computer Architecture …, 2018
722018
QUAC-TRNG: High-throughput true random number generation using quadruple row activation in commodity DRAM chips
A Olgun, M Patel, AG Yağlıkçı, H Luo, JS Kim, FN Bostancı, N Vijaykumar, ...
2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture …, 2021
602021
GenStore: a high-performance in-storage processing system for genome sequence analysis
N Mansouri Ghiasi, J Park, H Mustafa, J Kim, A Olgun, A Gollwitzer, ...
Proceedings of the 27th ACM International Conference on Architectural …, 2022
502022
A case for richer cross-layer abstractions: Bridging the semantic gap with expressive memory
N Vijaykumar, A Jain, D Majumdar, K Hsieh, G Pekhimenko, E Ebrahimi, ...
2018 ACM/IEEE 45th Annual International Symposium on Computer Architecture …, 2018
502018
SysScale: Exploiting multi-domain dynamic voltage and frequency scaling for energy efficient mobile processors
J Haj-Yahya, M Alser, J Kim, AG Yağlıkçı, N Vijaykumar, E Rotem, O Mutlu
2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture …, 2020
372020
Echo: Compiler-based GPU memory footprint reduction for LSTM RNN training
B Zheng, N Vijaykumar, G Pekhimenko
2020 ACM/IEEE 47th Annual International Symposium on Computer Architecture …, 2020
352020
GenStore: A High-Performance and Energy-Efficient In-Storage Computing System for Genome Sequence Analysis
NM Ghiasi, J Park, H Mustafa, J Kim, A Olgun, A Gollwitzer, DS Cali, ...
arXiv preprint arXiv:2202.10400, 2022
252022
Spidr: Sdf-based neural point fields for illumination and deformation
R Liang, J Zhang, H Li, C Yang, Y Guan, N Vijaykumar
arXiv preprint arXiv:2210.08398, 2022
112022
The system can't perform the operation now. Try again later.
Articles 1–20