Follow
Kaushik Roy
Kaushik Roy
Professor of Electrical and Computer Engineering, Purdue University
Verified email at purdue.edu - Homepage
Title
Cited by
Cited by
Year
Leakage current mechanisms and leakage reduction techniques in deep-submicrometer CMOS circuits
K Roy, S Mukhopadhyay, H Mahmoodi-Meimand
Proceedings of the IEEE 91 (2), 305-327, 2003
31152003
Medium-scale carbon nanotube thin-film integrated circuits on flexible plastic substrates
Q Cao, H Kim, N Pimparkar, JP Kulkarni, C Wang, M Shim, K Roy, ...
Nature 454 (7203), 495-500, 2008
13872008
Towards spike-based machine intelligence with neuromorphic computing
K Roy, A Jaiswal, P Panda
Nature 575 (7784), 607-617, 2019
12502019
Gated-Vdd a circuit technique to reduce leakage in deep-submicron cache memories
M Powell, SH Yang, B Falsafi, K Roy, TN Vijaykumar
Proceedings of the 2000 international symposium on Low power electronics and …, 2000
11372000
Low-power CMOS VLSI circuit design
K Roy, SC Prasad
John Wiley & Sons, 2009
9822009
Going deeper in spiking neural networks: VGG and residual architectures
A Sengupta, Y Ye, R Wang, C Liu, K Roy
Frontiers in neuroscience 13, 425055, 2019
9762019
Low-power digital signal processing using approximate adders
V Gupta, D Mohapatra, A Raghunathan, K Roy
IEEE transactions on computer-aided design of integrated circuits and …, 2012
9052012
A 32 kb 10T sub-threshold SRAM array with bit-interleaving and differential read scheme in 90 nm CMOS
IJ Chang, JJ Kim, SP Park, K Roy
IEEE Journal of Solid-State Circuits 44 (2), 650-658, 2009
6552009
Analysis and characterization of inherent application resilience for approximate computing
VK Chippa, ST Chakradhar, K Roy, A Raghunathan
Proceedings of the 50th Annual Design Automation Conference, 1-9, 2013
6362013
Modeling of failure probability and statistical design of SRAM array for yield enhancement in nanoscaled CMOS
S Mukhopadhyay, H Mahmoodi, K Roy
IEEE transactions on computer-aided design of integrated circuits and …, 2005
6012005
IMPACT: IMPrecise adders for low-power approximate computing
V Gupta, D Mohapatra, SP Park, A Raghunathan, K Roy
IEEE/ACM International Symposium on Low Power Electronics and Design, 409-414, 2011
5982011
A 160 mV robust Schmitt trigger based subthreshold SRAM
JP Kulkarni, K Kim, K Roy
IEEE Journal of Solid-State Circuits 42 (10), 2303-2313, 2007
5922007
Estimation of standby leakage power in CMOS circuits considering accurate modeling of transistor stacks
Z Chen, M Johnson, L Wei, K Roy
Proceedings of the 1998 international symposium on Low power electronics and …, 1998
5061998
Design and optimization of dual-threshold circuits for low-voltage low-power applications
L Wei, Z Chen, K Roy, MC Johnson, Y Ye, VK De
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 7 (1), 16-24, 1999
4161999
PUMA: A programmable ultra-efficient memristor-based accelerator for machine learning inference
A Ankit, IE Hajj, SR Chalamalasetti, G Ndu, M Foltin, RS Williams, ...
Proceedings of the twenty-fourth international conference on architectural …, 2019
4102019
SALSA: Systematic logic synthesis of approximate circuits
S Venkataramani, A Sabne, V Kozhikkottu, K Roy, A Raghunathan
Proceedings of the 49th Annual Design Automation Conference, 796-801, 2012
4062012
Leakage control with efficient use of transistor stacks in single threshold CMOS
MC Johnson, D Somasekhar, K Roy
Proceedings of the 36th annual ACM/IEEE Design Automation Conference, 442-445, 1999
3941999
Sense-amp based adder with source follower evaluation tree
JJ Kim, CTK Chuang, RV Joshi, K Roy
US Patent 6,789,099, 2004
3832004
Robust subthreshold logic for ultra-low power operation
H Soeleman, K Roy, BC Paul
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 9 (1), 90-99, 2001
3802001
Reducing set-associative cache energy via way-prediction and selective direct-mapping
MD Powell, A Agarwal, TN Vijaykumar, B Falsafi, K Roy
Proceedings. 34th ACM/IEEE International Symposium on Microarchitecture …, 2001
3702001
The system can't perform the operation now. Try again later.
Articles 1–20