Follow
Xiaobo Sharon Hu
Title
Cited by
Cited by
Year
Task scheduling and voltage selection for energy minimization
Y Zhang, XS Hu, DZ Chen
Proceedings of the 39th annual Design Automation Conference, 183-188, 2002
5142002
Scaling for edge inference of deep neural networks
X Xu, Y Ding, SX Hu, M Niemier, J Cong, Y Hu, Y Shi
Nature Electronics 1 (4), 216-222, 2018
3902018
Temperature-aware scheduling and assignment for hard real-time applications on MPSoCs
T Chantem, RP Dick, XS Hu
Proceedings of the conference on Design, automation and test in Europe, 288-293, 2008
3462008
Energy efficient fixed-priority scheduling for real-time systems on variable voltage processors
G Quan, X Hu
Proceedings of the 38th annual Design Automation conference, 828-833, 2001
3172001
Ferroelectric ternary content-addressable memory for one-shot learning
K Ni, X Yin, AF Laguna, S Joshi, S Dünkel, M Trentzsch, J Müller, S Beyer, ...
Nature Electronics 2 (11), 521-529, 2019
2742019
Expanding the range of convergence of the CORDIC algorithm
X Hu, RG Harber, SC Bass
IEEE Transactions on computers 40 (01), 13-21, 1991
2491991
Nanomagnet logic: progress toward system-level integration
MT Niemier, GH Bernstein, G Csaba, A Dingler, XS Hu, S Kurtz, S Liu, ...
Journal of Physics: Condensed Matter 23 (49), 493202, 2011
2312011
Enhanced fixed-priority scheduling with (m, k)-firm guarantee
G Quan, X Hu
Proceedings 21st IEEE Real-Time Systems Symposium, 79-88, 2000
1862000
On-chip clocking for nanomagnet logic devices
MT Alam, MJ Siddiq, GH Bernstein, M Niemier, W Porod, XS Hu
IEEE Transactions on Nanotechnology 9 (3), 348-351, 2010
1832010
Clocking structures and power analysis for nanomagnet-based logic devices
M Niemier, M Alam, XS Hu, G Bernstein, W Porod, M Putney, J DeAngelis
Proceedings of the 2007 international symposium on Low power electronics and …, 2007
1522007
Resource management for improving soft-error and lifetime reliability of real-time MPSoCs
J Zhou, J Sun, X Zhou, T Wei, M Chen, S Hu, XS Hu
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2018
1512018
Thermal-aware task scheduling for energy minimization in heterogeneous real-time MPSoC systems
J Zhou, T Wei, M Chen, J Yan, XS Hu, Y Ma
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2015
1432015
In-memory learning with analog resistive switching memory: A review and perspective
Y Xi, B Gao, J Tang, A Chen, MF Chang, XS Hu, J Van Der Spiegel, ...
Proceedings of the IEEE 109 (1), 14-42, 2020
1422020
A ferroelectric field effect transistor based synaptic weight cell
M Jerry, S Dutta, A Kazemi, K Ni, J Zhang, PY Chen, P Sharma, S Yu, ...
Journal of Physics D: Applied Physics 51 (43), 434001, 2018
1332018
Analog circuit design using tunnel-FETs
B Sedighi, XS Hu, H Liu, JJ Nahas, M Niemier
IEEE transactions on circuits and systems I: regular papers 62 (1), 39-48, 2014
1302014
Searching for multiobjective preventive maintenance schedules: Combining preferences with evolutionary algorithms
G Quan, GW Greenwood, D Liu, S Hu
European Journal of Operational Research 177 (3), 1969-1984, 2007
1302007
On self-triggered full-information h-infinity controllers
M Lemmon, T Chantem, XS Hu, M Zyskowski
International Workshop on Hybrid Systems: Computation and Control, 371-384, 2007
1252007
System-level reliability modeling for MPSoCs
Y Xiang, T Chantem, RP Dick, XS Hu, L Shang
Proceedings of the eighth IEEE/ACM/IFIP international conference on Hardware …, 2010
1232010
Fitness Functions for Multiple Objective Optimization Problems: Combining Preferences with Pareto Rankings.
GW Greenwood, X Hu, JG D'Ambrosio
Foga 96, 437-455, 1996
1231996
Configuration-level hardware/software partitioning for real-time embedded systems
JG D'ambrosio, X Hu
Third International Workshop on Hardware/Software Codesign, 34-41, 1994
1221994
The system can't perform the operation now. Try again later.
Articles 1–20