Follow
Hyungjun Kim
Hyungjun Kim
SqueezeBits Inc.
Verified email at squeezebits.com
Title
Cited by
Cited by
Year
Area-Efficient and Variation-Tolerant In-Memory BNN Computing using 6T SRAM Array
J Kim, J Koo, T Kim, Y Kim, H Kim, S Yoo, JJ Kim
2019 Symposium on VLSI Circuits, C118-C119, 2019
792019
BitBlade: Area and energy-efficient precision-scalable neural network accelerator with bitwise summation
S Ryu, H Kim, W Yi, JJ Kim
Proceedings of the 56th Annual Design Automation Conference 2019, 1-6, 2019
742019
Improved Synapse Device With MLC and Conductance Linearity Using Quantized Conduction for Neuromorphic Systems
S Lim, C Sung, H Kim, T Kim, J Song, JJ Kim, H Hwang
IEEE Electron Device Letters 39 (2), 312-315, 2018
662018
BinaryDuo: Reducing Gradient Mismatch in Binary Activation Network by Coupling Binary Activations
H Kim, K Kim, J Kim, JJ Kim
2020 International Conference on Learning Representations (ICLR), 2020
502020
Effect of conductance linearity and multi-level cell characteristics of TaOx-based synapse device on pattern recognition accuracy of neuromorphic system
C Sung, S Lim, H Kim, T Kim, K Moon, J Song, JJ Kim, H Hwang
Nanotechnology 29 (11), 115203, 2018
472018
Input Voltage Mapping Optimized for Resistive Memory-Based Deep Neural Network Hardware
T Kim, H Kim, J Kim, JJ Kim
IEEE Electron Device Letters 38 (9), 1228-1231, 2017
472017
Improving Accuracy of Binary Neural Networks using Unbalanced Activation Distribution
H Kim, J Park, C Lee, JJ Kim
Proceedings of the IEEE/CVF Conference on Computer Vision and Pattern …, 2021
382021
Input-splitting of large neural networks for power-efficient accelerator with resistive crossbar memory array
Y Kim, H Kim, D Ahn, JJ Kim
Proceedings of the International Symposium on Low Power Electronics and …, 2018
362018
OWQ: Outlier-Aware Weight Quantization for Efficient Fine-Tuning and Inference of Large Language Models
C Lee, J Jin, T Kim, H Kim, E Park
arXiv preprint arXiv:2306.02272, 2023
30*2023
Deep neural network optimized to resistive memory with nonlinear current-voltage characteristics
H Kim, T Kim, J Kim, JJ Kim
ACM Journal on Emerging Technologies in Computing Systems (JETC) 14 (2), 15, 2018
282018
BitBlade: Energy-Efficient Variable Bit-Precision Hardware Accelerator for Quantized Neural Networks
S Ryu, H Kim, W Yi, E Kim, Y Kim, T Kim, JJ Kim
IEEE Journal of Solid-State Circuits 57 (6), 1924-1935, 2022
252022
Neural Network-Hardware Co-design for Scalable RRAM-based BNN Accelerators
Y Kim, H Kim, JJ Kim
arXiv preprint arXiv:1811.02187, 2018
212018
In-memory batch-normalization for resistive memory based binary neural network hardware
H Kim, Y Kim, JJ Kim
Proceedings of the 24th Asia and South Pacific Design Automation Conference …, 2019
202019
Zero-shifting Technique for Deep Neural Network Training on Resistive Cross-point Arrays
H Kim, M Rasch, T Gokmen, T Ando, H Miyazoe, JJ Kim, J Rozen, S Kim
arXiv preprint arXiv:1907.10228, 2019
182019
Time-delayed convolutions for neural network device and method
S Kim, J Kim, KIM Yulhwa, J Kim, D Park, H Kim
US Patent 11,521,046, 2022
162022
Energy-efficient XNOR-free in-memory BNN accelerator with input distribution regularization
H Kim, H Oh, JJ Kim
Proceedings of the 39th International Conference on Computer-Aided Design, 1-9, 2020
142020
Algorithm/Hardware Co-Design for In-Memory Neural Network Computing with Minimal Peripheral Circuit Overhead
H Kim, Y Kim, S Ryu, JJ Kim
2020 57th ACM/IEEE Design Automation Conference (DAC), 1-6, 2020
122020
A 44.1 TOPS/W Precision-Scalable Accelerator for Quantized Neural Networks in 28nm CMOS
S Ryu, H Kim, W Yi, J Koo, E Kim, Y Kim, T Kim, JJ Kim
2020 IEEE Custom Integrated Circuits Conference (CICC), 1-4, 2020
122020
Temporal dynamic quantization for diffusion models
J So, J Lee, D Ahn, H Kim, E Park
Advances in Neural Information Processing Systems 36, 2024
62024
Extreme Partial-Sum Quantization for Analog Computing-In-Memory Neural Network Accelerators
Y Kim, H Kim, JJ Kim
ACM Journal on Emerging Technologies in Computing Systems (JETC) 18 (4), 1-19, 2022
62022
The system can't perform the operation now. Try again later.
Articles 1–20