Follow
Frédéric Pétrot
Frédéric Pétrot
TIMA Lab, Université Grenoble Alpes, Grenoble Institute of Engineering
Verified email at univ-grenoble-alpes.fr
Title
Cited by
Cited by
Year
Ternary neural networks for resource-efficient AI applications
H Alemdar, V Leroy, A Prost-Boucle, F Pétrot
2017 international joint conference on neural networks (IJCNN), 2547-2554, 2017
2692017
Elevator-first: A deadlock-free distributed routing algorithm for vertically partially connected 3d-nocs
F Dubois, A Sheibanyrad, F Petrot, M Bahmani
IEEE Transactions on Computers 62 (3), 609-615, 2011
1552011
COSY communication IP's
JY Brunel, WM Kruijtzer, HJHN Kenter, F Pétrot, L Pasquier, EA de Kock, ...
Proceedings of the 37th Annual Design Automation Conference, 406-409, 2000
1302000
Programming models and HW-SW interfaces abstraction for multi-processor SoC
AA Jerraya, A Bouchhima, F Pétrot
Proceedings of the 43rd annual Design Automation Conference, 280-285, 2006
1132006
3D integration for NoC-based SoC Architectures
A Sheibanyrad, F Pétrot, A Jantsch
Springer, 2011
1072011
Scalable high-performance architecture for convolutional ternary neural networks on FPGA
A Prost-Boucle, A Bourge, F Pétrot, H Alemdar, N Caldwell, V Leroy
2017 27Th International conference on field programmable logic and …, 2017
952017
Using binary translation in event driven simulation for fast and flexible MPSoC simulation
M Gligor, N Fournel, F Pétrot
Proceedings of the 7th IEEE/ACM international conference on Hardware …, 2009
932009
Platform-based design from parallel C specifications
I Augé, F Pétrot, F Donnet, P Gomez
IEEE transactions on computer-aided design of integrated circuits and …, 2005
852005
Automatic instrumentation of embedded software for high level hardware/software co-simulation
A Bouchhima, P Gerin, F Pétrot
2009 Asia and South Pacific Design Automation Conference, 546-551, 2009
752009
On cache coherency and memory consistency issues in NoC based shared memory multiprocessor SoC architectures
F Petrot, A Greiner, P Gomez
9th EUROMICRO Conference on Digital System Design (DSD'06), 53-60, 2006
592006
A 3D-NoC router implementation exploiting vertically-partially-connected topologies
M Bahmani, A Sheibanyrad, F Pétrot, F Dubois, P Durante
2012 IEEE Computer Society Annual Symposium on VLSI, 9-14, 2012
562012
A Homogeneous Scalable 3D Network-on-Chip Circuit With 326 MFlit/s 0.66 pJ/b Robust and Fault Tolerant Asynchronous 3D Links
P Vivet, Y Thonnart, R Lemaire, C Santos, E Beigné, C Bernard, F Darve, ...
IEEE Journal of Solid-State Circuits 52 (1), 33-49, 2017
552017
A system framework for the design of embedded software targeting heterogeneous multi-core socs
X Guerin, F Pétrot
2009 20th IEEE International Conference on Application-specific Systems …, 2009
512009
Lightweight implementation of the posix threads api for an on-chip mips multiprocessor with vci interconnect
F Pétrot, P Gomez
2003 Design, Automation and Test in Europe Conference and Exhibition, 51-56 …, 2003
482003
A practical tool box for system level communication synthesis
D Hommais, F Pétrot, I Augé
Proceedings of the ninth international symposium on Hardware/software …, 2001
462001
Physical implementation of an asynchronous 3D-NoC router using serial vertical links
F Darve, A Sheibanyrad, P Vivet, F Pétrot
2011 IEEE Computer Society Annual Symposium on VLSI, 25-30, 2011
452011
Cycle precise core based hardware/software system simulation with predictable event propagation
F Pétrot, D Hommais, A Greiner
EUROMICRO 97. Proceedings of the 23rd EUROMICRO Conference: New Frontiers of …, 1997
451997
Native MPSoC co-simulation environment for software performance estimation
P Gerin, MM Hamayun, F Pétrot
Proceedings of the 7th IEEE/ACM international conference on Hardware …, 2009
372009
Efficient implementation of native software simulation for MPSoC
P Gerin, X Guérin, F Pétrot
Proceedings of the conference on Design, automation and test in Europe, 676-681, 2008
372008
On mpsoc software execution at the transaction level
F Pétrot, N Fournel, P Gerin, M Gligor, MM Hamayun, H Shen
IEEE Design & Test of Computers 28 (3), 32-43, 2010
352010
The system can't perform the operation now. Try again later.
Articles 1–20