Follow
Xiaofan Zhang
Title
Cited by
Cited by
Year
CSRNet: Dilated Convolutional Neural Networks for Understanding the Highly Congested Scenes
Y Li, X Zhang, D Chen
IEEE Conference on Computer Vision and Pattern Recognition (CVPR), 2018
14682018
The sixth visual object tracking vot2018 challenge results
M Kristan, A Leonardis, J Matas, M Felsberg, R Pflugfelder, ...
Proceedings of the European Conference on Computer Vision (ECCV), 0-0, 2018
9042018
DNNBuilder: an Automated Tool for Building High-Performance DNN Hardware Accelerators for FPGAs
X Zhang, J Wang, C Zhu, Y Lin, JJ Xiong, W Hwu, D Chen
(Best Paper Award) IEEE/ACM Int. Conference on Computer Aided Design (ICCAD), 2018
3612018
FPGA/DNN Co-Design: An Efficient Design Methodology for 1oT Intelligence on the Edge
C Hao, X Zhang, Y Li, S Huang, J Xiong, K Rupnow, W Hwu, D Chen
ACM/IEEE Design Automation Conference (DAC), 2019
1902019
Cloud-DNN: An Open Framework for Mapping DNN Models to Cloud FPGAs
Y Chen, J He, X Zhang, C Hao, D Chen
ACM/SIGDA Int. Symposium on Field-Programmable Gate Arrays (FPGA), 2019
1252019
Design Flow of Accelerating Hybrid Extremely Low Bit-width Neural Network in Embedded FPGA
J Wang, Q Lou, X Zhang, C Zhu, Y Lin, D Chen
International Conference on Field Programmable Logic and Applications (FPL), 2018
1242018
AutoDNNchip: An automated dnn chip predictor and builder for both FPGAs and ASICs
P Xu, X Zhang, C Hao, Y Zhao, Y Zhang, Y Wang, C Li, Z Guan, D Chen, ...
ACM/SIGDA Int. Symposium on Field-Programmable Gate Arrays (FPGA), 2020
992020
SkyNet: a Hardware-Efficient Method for Object Detection and Tracking on Embedded Systems
X Zhang, H Lu, C Hao, J Li, B Cheng, Y Li, K Rupnow, J Xiong, T Huang, ...
Conference on Machine Learning and Systems (MLSys), 2020
972020
High-performance video content recognition with long-term recurrent convolutional network for FPGA
X Zhang, X Liu, A Ramachandran, C Zhuge, S Tang, P Ouyang, Z Cheng, ...
International Conference on Field Programmable Logic and Applications (FPL), 2017
972017
EDD: Efficient Differentiable DNN Architecture and Implementation Co-search for Embedded AI Solutions
Y Li, C Hao, X Zhang, X Liu, Y Chen, J Xiong, W Hwu, D Chen
ACM/IEEE Design Automation Conference (DAC), 2020
892020
Machine Learning on FPGAs to Face the IoT Revolution
X Zhang, A Ramachandran, C Zhuge, D He, W Zuo, Z Cheng, K Rupnow, ...
IEEE/ACM Int. Conference on Computer Aided Design (ICCAD), 2017
782017
DNNExplorer: A Framework for Modeling and Exploring a Novel Paradigm of FPGA-based DNN Accelerator
X Zhang, H Ye, J Wang, Y Lin, J Xiong, W Hwu, D Chen
IEEE/ACM Int. Conference on Computer Aided Design (ICCAD), 2020
722020
HybridDNN: A Framework for High-Performance Hybrid DNN Accelerator Design and Implementation
H Ye, X Zhang, Z Huang, G Chen, D Chen
ACM/IEEE Design Automation Conference (DAC), 2020
692020
SiamVGG: Visual Tracking using Deeper Siamese Networks
Y Li, X Zhang
arXiv preprint arXiv:1902.02804, 2019
672019
Face Recognition with Hybrid Efficient Convolution Algorithms on FPGAs
C Zhuge, X Liu, X Zhang, S Gummadi, J Xiong, D Chen
ACM Great Lakes Symposium on VLSI (GLSVLSI), 2018
582018
T-DLA: An Open-source Deep Learning Accelerator for Ternarized DNN Models on Embedded FPGA
Y Chen, K Zhang, C Gong, C Hao, X Zhang, T Li, D Chen
IEEE Computer Society Annual Symposium on VLSI (ISVLSI), 2019
382019
Implementing neural machine translation with bi-directional GRU and attention mechanism on FPGAs using HLS
Q Li, X Zhang, JJ Xiong, W Hwu, D Chen
Asia and South Pacific Design Automation Conference (ASP-DAC), 2019
342019
Non-Blocking Testing for Network-on-Chip
L Huang, J Wang, M Ebrahimi, M Daneshtalab, X Zhang, G Li, A Jantsch
IEEE Transactions on Computers 65 (3), 679-692, 2016
212016
SkyNet: A Champion Model for DAC-SDC on Low Power Object Detection
X Zhang, C Hao, H Lu, J Li, Y Li, Y Fan, K Rupnow, jinjun Xiong, T Huang, ...
Report for DAC System Design Contest, arXiv preprint, 2019
202019
Exploring HW/SW Co-Design for Video Analysis on CPU-FPGA Heterogeneous Systems
X Zhang, Y Ma, J Xiong, W Hwu, V Kindratenko, D Chen
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2022
142022
The system can't perform the operation now. Try again later.
Articles 1–20