Takip et
Fabian Oboril
Fabian Oboril
intel.com üzerinde doğrulanmış e-posta adresine sahip
Başlık
Alıntı yapanlar
Alıntı yapanlar
Yıl
Evaluation of hybrid memory technologies using SOT-MRAM for on-chip cache hierarchy
F Oboril, R Bishnoi, M Ebrahimi, MB Tahoori
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2015
2102015
Ultra-fast and high-reliability SOT-MRAM: From cache replacement to normally-off computing
G Prenat, K Jabeur, P Vanhauwaert, G Di Pendina, F Oboril, R Bishnoi, ...
IEEE Transactions on Multi-Scale Computing Systems 2 (1), 49-60, 2015
1912015
Voltage drop-based fault attacks on FPGAs using valid bitstreams
DRE Gnad, F Oboril, MB Tahoori
2017 27th International Conference on Field Programmable Logic and …, 2017
1482017
ExtraTime: Modeling and analysis of wearout due to transistor aging at microarchitecture-level
F Oboril, MB Tahoori
IEEE/IFIP International Conference on Dependable Systems and Networks (DSN …, 2012
1382012
Read disturb fault detection in STT-MRAM
R Bishnoi, M Ebrahimi, F Oboril, MB Tahoori
2014 International Test Conference, 1-7, 2014
882014
Avoiding unnecessary write operations in STT-MRAM for low power implementation
R Bishnoi, F Oboril, M Ebrahimi, MB Tahoori
Fifteenth International Symposium on Quality Electronic Design, 548-553, 2014
732014
Improving Write Performance for STT-MRAM
R Bishnoi, M Ebrahimi, F Oboril, MB Tahoori
IEEE Transactions on Magnetics 52 (8), 1-11, 2016
712016
Aging-aware Logic Synthesis
M Ebrahimi, F Oboril, S Kiamehr, MB Tahoori
International Conference on Computer-Aided Design, 2013
702013
Towards Standardization of AV Safety: C++ Library for Responsibility Sensitive Safety
B Gassmann, F Oboril, C Buerkle, S Liu, S Yan, MS Elli, I Alvarez, ...
2019 IEEE Intelligent Vehicles Symposium (IV), 2265-2271, 2019
682019
Energy Efficient Scientific Computing on FPGAs using OpenCL
D Weller, F Oboril, D Lukarski, J Becker, M Tahoori
Proceedings of the 2017 ACM/SIGDA International Symposium on Field …, 2017
622017
Analysis of transient voltage fluctuations in FPGAs
DRE Gnad, F Oboril, S Kiamehr, MB Tahoori
2016 International Conference on Field-Programmable Technology (FPT), 12-19, 2016
622016
Architectural Aspects in Design and Analysis of SOT-based Memories
R Bishnoi, M Ebrahimi, F Oboril, MB Tahoori
Design Automation Conference (ASP-DAC), 2014 19th Asia and South Pacific …, 2014
612014
Design of defect and fault-tolerant nonvolatile spintronic flip-flops
R Bishnoi, F Oboril, MB Tahoori
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 25 (4 …, 2016
532016
Aging mitigation in memory arrays using self-controlled bit-flipping technique
A Gebregiorgis, M Ebrahimi, S Kiamehr, F Oboril, S Hamdioui, ...
The 20th Asia and South Pacific Design Automation Conference, 231-236, 2015
502015
An Experimental Evaluation and Analysis of Transient Voltage Fluctuations in FPGAs
DRE Gnad, F Oboril, S Kiamehr, MB Tahoori
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 26 (10 …, 2018
492018
Asynchronous asymmetrical write termination (AAWT) for a low power STT-MRAM
R Bishnoi, M Ebrahimi, F Oboril, MB Tahoori
2014 Design, Automation & Test in Europe Conference & Exhibition (DATE), 1-6, 2014
452014
Contemporary CMOS aging mitigation techniques: Survey, taxonomy, and methods
N Khoshavi, RA Ashraf, RF DeMara, S Kiamehr, F Oboril, MB Tahoori
Integration 59, 10-22, 2017
352017
Self-timed read and write operations in STT-MRAM
R Bishnoi, F Oboril, M Ebrahimi, MB Tahoori
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 24 (5 …, 2015
322015
Robustness of object detectors in degrading weather conditions
MJ Mirza, C Buerkle, J Jarquin, M Opitz, F Oboril, KU Scholl, H Bischof
2021 IEEE International Intelligent Transportation Systems Conference (ITSC …, 2021
312021
Reducing NBTI-induced processor wearout by exploiting the timing slack of instructions
F Oboril, F Firouzi, S Kiamehr, M Tahoori
Proceedings of the eighth IEEE/ACM/IFIP international conference on Hardware …, 2012
282012
Sistem, işlemi şu anda gerçekleştiremiyor. Daha sonra yeniden deneyin.
Makaleler 1–20