Takip et
Lu Jie
Lu Jie
mail.tsinghua.edu.cn üzerinde doğrulanmış e-posta adresine sahip
Başlık
Alıntı yapanlar
Alıntı yapanlar
Yıl
A calibration-free time-interleaved fourth-order noise-shaping SAR ADC
L Jie, B Zheng, MP Flynn
IEEE Journal of Solid-State Circuits 54 (12), 3386-3395, 2019
392019
A cascaded noise-shaping SAR architecture for robust order extension
L Jie, B Zheng, HW Chen, MP Flynn
IEEE Journal of Solid-State Circuits 55 (12), 3236-3247, 2020
382020
20.3 A 50MHz-Bandwidth 70.4dB-SNDR Calibration-Free Time-Interleaved 4th-Order Noise-Shaping SAR ADC
L Jie, B Zheng, MP Flynn
2019 IEEE International Solid-State Circuits Conference-(ISSCC), 332-334, 2019
272019
9.4 A 4th-Order Cascaded-Noise-Shaping SAR ADC with 88dB SNDR Over 100kHz Bandwidth
L Jie, B Zheng, HW Chen, R Wang, MP Flynn
2020 IEEE International Solid-State Circuits Conference-(ISSCC), 160-162, 2020
262020
Trending IC design directions in 2022
CH Chan, L Cheng, W Deng, P Feng, L Geng, M Huang, H Jia, L Jie, ...
Journal of Semiconductors 43 (7), 071401, 2022
202022
An overview of noise-shaping SAR ADC: From fundamentals to the frontier
L Jie, X Tang, J Liu, L Shen, S Li, N Sun, MP Flynn
IEEE Open Journal of the Solid-State Circuits Society 1, 149-161, 2021
192021
10.3 A 100MHz-BW 68dB-SNDR tuning-free hybrid-loop DSM with an interleaved bandpass noise-shaping SAR quantizer
L Jie, HW Chen, B Zheng, MP Flynn
2021 IEEE International Solid-State Circuits Conference (ISSCC) 64, 167-169, 2021
152021
A 0.004-mm 200-MS/s Pipelined SAR ADC With kT/C Noise Cancellation and Robust Ring-Amp
M Zhan, L Jie, X Tang, Y Zhong, N Sun
IEEE Journal of Solid-State Circuits, 2023
142023
A 0.014mm2 10kHz-BW Zoom-Incremental-Counting ADC Achieving 103dB SNDR and 100dB Full-Scale CMRR
L Jie, M Zhan, X Tang, N Sun
2022 IEEE International Solid-State Circuits Conference (ISSCC) 65, 1-3, 2022
142022
A two-beam eight-element direct digital beamforming RF modulator in 40-nm CMOS
B Zheng, L Jie, J Bell, Y He, MP Flynn
IEEE Transactions on Microwave Theory and Techniques 67 (7), 2569-2579, 2019
112019
Design considerations for integrated radar chirp synthesizers
D Weyer, MB Dayanik, L Jie, A Albalawi, A Alothaimen, M Aseeri, ...
IEEE Access 7, 13723-13736, 2019
102019
A 10-mW 10-ENoB 1-GS/s Ring-Amp-Based Pipelined TI-SAR ADC With Split MDAC and Switched Reference Decoupling Capacitor
M Zhan, L Jie, Y Zhong, N Sun
IEEE Journal of Solid-State Circuits, 2023
92023
A 6-GHz MU-MIMO eight-element direct digital beamforming TX utilizing FIR H-bridge DAC
B Zheng, L Jie, MP Flynn
IEEE Transactions on Microwave Theory and Techniques 69 (6), 2832-2840, 2021
92021
A 6 GHz 160 MHz bandwidth MU-MIMO eight-element direct digital beamforming TX utilizing FIR H-bridge DAC
B Zheng, L Jie, R Wang, MP Flynn
2020 IEEE Radio Frequency Integrated Circuits Symposium (RFIC), 303-306, 2020
82020
A 0.19mm2 128mW 0.8-1.2GHz 2-Beam 8-Element Digital Direct to RF Beamforming Transmitter in 40nm CMOS
B Zheng, J Bell, Y He, L Jie, M Flynn
2018 IEEE Radio Frequency Integrated Circuits Symposium (RFIC), 128-131, 2018
62018
A 78.6 dB-SNDR 520mVpp-full-scale 620MΩ-Zin 105dBCMRR VCO-based Sensor Readout Circuit Using FVF-Based Gm-Input Structure
Y Zhong, L Jie, N Sun
2022 IEEE Asian Solid-State Circuits Conference (A-SSCC), 1-3, 2022
52022
20.3 a 50MHz-bandwidth 70.4 dB-SNDR calibration-free time-interleaved 4th-order noise-shaping SAR ADC, in 2019 IEEE International Solid-State Circuits Conference,(2019)
L Jie, B Zheng, MP Flynn
DOI, 2019
52019
A mismatch-immune 12-bit SAR ADC with completely reconfigurable capacitor DAC
N Collins, A Tamez, L Jie, J Pernillo, MP Flynn
IEEE Transactions on Circuits and Systems II: Express Briefs 65 (11), 1589-1593, 2017
52017
A 5.6-89.9 TOPS/W Heterogeneous Computing-in-Memory SoC with High-Utilization Producer-Consumer Architecture and High-Frequency Read-Free CIM Macro
J Yue, M Zhan, Z Wang, Y He, Y Li, S Yu, W Sun, L Jie, C Dou, X Li, N Sun, ...
2023 IEEE Symposium on VLSI Technology and Circuits (VLSI Technology and …, 2023
42023
10.6 A 150kHz-BW 15-ENOB Incremental Zoom ADC with Skipped Sampling and Single Buffer Embedded Noise-Shaping SAR Quantizer
Z Wang, L Jie, Z Kong, M Zhan, Y Zhong, Y Wang, X Tang
2023 IEEE International Solid-State Circuits Conference (ISSCC), 9-11, 2023
42023
Sistem, işlemi şu anda gerçekleştiremiyor. Daha sonra yeniden deneyin.
Makaleler 1–20