Takip et
Peng Li
Peng Li
Professor of Electrical & Computer Engineering, University of California, Santa Barbara
ucsb.edu üzerinde doğrulanmış e-posta adresine sahip - Ana Sayfa
Başlık
Alıntı yapanlar
Alıntı yapanlar
Yıl
Nonvolatile memristor memory: device characteristics and design implications
Y Ho, GM Huang, P Li
Proceedings of the 2009 International Conference on Computer-Aided Design …, 2009
3772009
Dynamical properties and design analysis for nonvolatile memristor memories
Y Ho, GM Huang, P Li
IEEE Transactions on Circuits and Systems I: Regular Papers 58 (4), 724-736, 2011
3512011
Rethinking the performance comparison between SNNS and ANNS
L Deng, Y Wu, X Hu, L Liang, Y Ding, G Li, G Zhao, P Li, Y Xie
Neural Networks 121, 294-307, 2020
2232020
Hybrid macro/micro level backpropagation for training deep spiking neural networks
Y Jin, W Zhang, P Li
Advances in neural information processing systems 31, 2018
2222018
Temporal spike sequence learning via backpropagation for deep spiking neural networks
W Zhang, P Li
Advances in Neural Information Processing Systems 33, 12022-12033, 2020
2042020
A digital liquid state machine with biologically inspired learning and its application to speech recognition
Y Zhang, P Li, Y Jin, Y Choe
IEEE transactions on neural networks and learning systems 26 (11), 2635-2649, 2015
1972015
Circuit design and exponential stabilization of memristive neural networks
S Wen, T Huang, Z Zeng, Y Chen, P Li
Neural Networks 63, 48-56, 2015
1862015
An energy efficient approximate adder with carry skip for error resilient neuromorphic VLSI systems
Y Kim, Y Zhang, P Li
2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 130-137, 2013
1772013
Multigrid on GPU: Tackling power grid analysis on parallel SIMT platforms
Z Feng, P Li
2008 IEEE/ACM International Conference on Computer-Aided Design, 647-654, 2008
1422008
Efficient full-chip thermal modeling and analysis
P Li, LT Pileggi, M Asheghi, R Chandra
IEEE/ACM International Conference on Computer Aided Design, 2004. ICCAD-2004 …, 2004
1312004
Spike-train level backpropagation for training deep recurrent spiking neural networks
W Zhang, P Li
Advances in Neural Information Processing Systems, 7802-7813, 2019
1302019
IC thermal simulation and modeling via efficient multigrid-based approaches
P Li, LT Pileggi, M Asheghi, R Chandra
IEEE Transactions on Computer-Aided Design of Integrated Circuits and …, 2006
1222006
Compact reduced-order modeling of weakly nonlinear analog and RF circuits
P Li, LT Pileggi
IEEE Transactions on computer-aided design of integrated circuits and …, 2005
1052005
NORM: compact model order reduction of weakly nonlinear systems
P Li, LT Pileggi
Proceedings of the 40th annual Design Automation Conference, 472-477, 2003
1002003
Energy efficient parallel neuromorphic architectures with approximate arithmetic on FPGA
Q Wang, Y Li, B Shao, S Dey, P Li
Neurocomputing 221, 146-158, 2017
992017
Modeling interconnect variability using efficient parametric model order reduction
P Li, T Liu, X Li, LT Pileggi, SR Nassif
Design, Automation and Test in Europe, 958-963, 2005
992005
A reconfigurable digital neuromorphic processor with memristive synaptic crossbar for cognitive computing
Y Kim, Y Zhang, P Li
ACM Journal on Emerging Technologies in Computing Systems (JETC) 11 (4), 1-25, 2015
982015
A digital neuromorphic VLSI architecture with memristor crossbar synaptic array for machine learning
Y Kim, Y Zhang, P Li
2012 IEEE International SOC Conference, 328-333, 2012
922012
Parameterized interconnect order reduction with explicit-and-implicit multi-parameter moment matching for inter/intra-die variations
X Li, P Li, LT Pileggi
ICCAD-2005. IEEE/ACM International Conference on Computer-Aided Design, 2005 …, 2005
912005
Combinatorial algorithms for fast clock mesh optimization
G Venkataraman, Z Feng, J Hu, P Li
IEEE transactions on very large scale integration (VLSI) systems 18 (1), 131-141, 2009
822009
Sistem, işlemi şu anda gerçekleştiremiyor. Daha sonra yeniden deneyin.
Makaleler 1–20