Takip et
Chi Ying Tsui
Chi Ying Tsui
ece.ust.hk üzerinde doğrulanmış e-posta adresine sahip
Başlık
Alıntı yapanlar
Alıntı yapanlar
Yıl
A VLSI architecture of a K-best lattice decoding algorithm for MIMO channels
K Wong, C Tsui, RSK Cheng, W Mow
2002 IEEE International Symposium on Circuits and Systems (ISCAS) 3, III-III, 2002
5122002
Single-inductor multiple-output switching converters with time-multiplexing control in discontinuous conduction mode
D Ma, WH Ki, CY Tsui, PKT Mok
IEEE Journal of Solid-State Circuits 38 (1), 89-100, 2003
4552003
A pseudo-CCM/DCM SIMO switching converter with freewheel switching
D Ma, WH Ki, CY Tsui
IEEE Journal of solid-state circuits 38 (6), 1007-1014, 2003
4382003
Analysis and design strategy of UHF micro-power CMOS rectifiers for micro-sensor and RFID applications
J Yi, WH Ki, CY Tsui
IEEE Transactions on Circuits and Systems I: Regular Papers 54 (1), 153-166, 2007
4212007
Saving power in the control path of embedded processors
CL Su, CY Tsui, AM Despain
IEEE Design & Test of Computers 11 (4), 24-31, 1994
3761994
A real-time sub-carrier allocation scheme for multiple access downlink OFDM transmission
CY Wong, CY Tsui, RS Cheng, KB Letaief
Gateway to 21st Century Communications Village. VTC 1999-Fall. IEEE VTS 50th …, 1999
3721999
Genome-scale measurement of off-target activity using Cas9 toxicity in high-throughput screens
DW Morgens, M Wainberg, EA Boyle, O Ursu, CL Araya, CK Tsui, ...
Nature communications 8 (1), 15178, 2017
3292017
Integrated low-loss CMOS active rectifier for wirelessly powered devices
YH Lam, WH Ki, CY Tsui
IEEE Transactions on Circuits and Systems II: Express Briefs 53 (12), 1378-1382, 2006
3172006
A 13.56 MHz wireless power transfer system with reconfigurable resonant regulating rectifier and wireless power control for implantable medical devices
X Li, CY Tsui, WH Ki
IEEE Journal of Solid-State Circuits 50 (4), 978-989, 2015
3112015
Low power architecture design and compilation techniques for high-performance processors
CL Su, CY Tsui, AM Despain
Proceedings of COMPCON'94, 489-498, 1994
2821994
A system-on-chip EPC Gen-2 passive UHF RFID tag with embedded temperature sensor
J Yin, J Yi, MK Law, Y Ling, MC Lee, KP Ng, B Gao, HC Luong, A Bermak, ...
IEEE Journal of Solid-State Circuits 45 (11), 2404-2420, 2010
2772010
Technology decomposition and mapping targeting low power dissipation
CY Tsui, M Pedram, AM Despain
Proceedings of the 30th international Design Automation Conference, 68-73, 1993
2451993
CRISPR–Cas9 screens in human cells and primary neurons identify modifiers of C9ORF72 dipeptide-repeat-protein toxicity
NJ Kramer, MS Haney, DW Morgens, A Jovičić, J Couthouis, A Li, J Ousey, ...
Nature genetics 50 (4), 603-612, 2018
2132018
Power estimation methods for sequential logic circuits
CY Tsui, J Monteiro, M Pedram, S Devadas, AM Despain, B Lin
IEEE Transactions on Very Large Scale Integration (VLSI) Systems 3 (3), 404-416, 1995
2041995
Digital multimedia jukebox
J Lau, CY Tsui, RSK Cheng, CW Yung, JTK Tang, KP Ng, SK Lai, ...
US Patent 7,548,851, 2009
2002009
Efficient estimation of dynamic power consumption under a real delay model
CY Tsui, M Pedram, AM Despain
Proceedings of 1993 International Conference on Computer Aided Design (ICCAD …, 1993
1981993
Low-power state assignment targeting two-and multilevel logic implementations
CY Tsui, M Pedram, AM Despain
IEEE transactions on computer-aided design of integrated circuits and …, 1998
1931998
Paused Pol II coordinates tissue morphogenesis in the Drosophila embryo
M Lagha, JP Bothma, E Esposito, S Ng, L Stefanik, C Tsui, J Johnston, ...
Cell 153 (5), 976-987, 2013
1922013
Low-power VLSI design for motion estimation using adaptive pixel truncation
ZL He, CY Tsui, KK Chan, ML Liou
IEEE Transactions on circuits and systems for video technology 10 (5), 669-678, 2000
1852000
Peer to peer sharing of functionality of mobile devices
CY Tsui, RD Murch, RSK Cheng, WH Mow, VKN Lau
US Patent 8,340,658, 2012
1782012
Sistem, işlemi şu anda gerçekleştiremiyor. Daha sonra yeniden deneyin.
Makaleler 1–20