Follow
Cheng Tan
Cheng Tan
Verified email at google.com - Homepage
Title
Cited by
Cited by
Year
I-GCN: A graph convolutional network accelerator with runtime locality enhancement through islandization
T Geng, C Wu, Y Zhang, C Tan, C Xie, H You, M Herbordt, Y Lin, A Li
MICRO-54: 54th annual IEEE/ACM international symposium on microarchitecture …, 2021
772021
Synergy: An hw/sw framework for high throughput cnns on embedded heterogeneous soc
G Zhong, A Dubey, C Tan, T Mitra
ACM Transactions on Embedded Computing Systems (TECS) 18 (2), 1-23, 2019
452019
OpenCGRA: An open-source unified framework for modeling, testing, and evaluating CGRAs
C Tan, C Xie, A Li, KJ Barker, A Tumeo
2020 IEEE 38th International Conference on Computer Design (ICCD), 381-388, 2020
432020
Stitch: Fusible heterogeneous accelerators enmeshed with many-core architecture for wearables
C Tan, M Karunaratne, T Mitra, LS Peh
2018 ACM/IEEE 45th Annual International Symposium on Computer Architecture …, 2018
392018
Ultra-elastic cgras for irregular loop specialization
C Torng, P Pan, Y Ou, C Tan, C Batten
2021 IEEE International Symposium on High-Performance Computer Architecture …, 2021
382021
Approximation-aware scheduling on heterogeneous multi-core architectures
C Tan, TS Muthukaruppan, T Mitra, L Ju
The 20th Asia and South Pacific Design Automation Conference, 618-623, 2015
372015
Locus: Low-power customizable many-core architecture for wearables
C Tan, A Kulkarni, V Venkataramani, M Karunaratne, T Mitra, LS Peh
ACM Transactions on Embedded Computing Systems (TECS) 17 (1), 1-26, 2017
342017
Aurora: Automated refinement of coarse-grained reconfigurable accelerators
C Tan, C Xie, A Li, KJ Barker, A Tumeo
2021 Design, Automation & Test in Europe Conference & Exhibition (DATE …, 2021
302021
Dnestmap: mapping deeply-nested loops on ultra-low power cgras
M Karunaratne, C Tan, A Kulkarni, T Mitra, LS Peh
Proceedings of the 55th Annual Design Automation Conference, 1-6, 2018
252018
Opencgra: Democratizing coarse-grained reconfigurable arrays
C Tan, NB Agostini, J Zhang, M Minutoli, VG Castellana, C Xie, T Geng, ...
2021 IEEE 32nd International Conference on Application-specific Systems …, 2021
202021
An MLIR-based compiler flow for system-level design and hardware acceleration
NB Agostini, S Curzel, V Amatya, C Tan, M Minutoli, VG Castellana, ...
Proceedings of the 41st IEEE/ACM International Conference on Computer-Aided …, 2022
192022
Soda: a new synthesis infrastructure for agile hardware design of machine learning accelerators
M Minutoli, VG Castellana, C Tan, J Manzano, V Amatya, A Tumeo, ...
Proceedings of the 39th International Conference on Computer-Aided Design, 1-7, 2020
192020
PyOCN: A unified framework for modeling, testing, and evaluating on-chip networks
C Tan, Y Ou, S Jiang, P Pan, C Torng, S Agwa, C Batten
2019 IEEE 37th International Conference on Computer Design (ICCD), 437-445, 2019
182019
Automated generation of integrated digital and spiking neuromorphic machine learning accelerators
S Curzel, NB Agostini, S Song, I Dagli, A Limaye, C Tan, M Minutoli, ...
2021 IEEE/ACM International Conference On Computer Aided Design (ICCAD), 1-7, 2021
172021
Arena: Asynchronous reconfigurable accelerator ring to enable data-centric parallel computing
C Tan, C Xie, T Geng, A Marquez, A Tumeo, K Barker, A Li
IEEE Transactions on Parallel and Distributed Systems 32 (12), 2880-2892, 2021
162021
Bridging Python to silicon: The SODA toolchain
NB Agostini, S Curzel, JJ Zhang, A Limaye, C Tan, V Amatya, M Minutoli, ...
IEEE Micro 42 (5), 78-88, 2022
132022
A survey: Handling irregularities in neural network acceleration with fpgas
T Geng, C Wu, C Tan, C Xie, A Guo, P Haghi, SY He, J Li, M Herbordt, ...
2021 IEEE High Performance Extreme Computing Conference (HPEC), 1-8, 2021
132021
CQNN: a CGRA-based QNN framework
T Geng, C Wu, C Tan, B Fang, A Li, M Herbordt
2020 IEEE High Performance Extreme Computing Conference (HPEC), 1-7, 2020
132020
A framework for neural network inference on fpga-centric smartnics
A Guo, T Geng, Y Zhang, P Haghi, C Wu, C Tan, Y Lin, A Li, M Herbordt
2022 32nd International Conference on Field-Programmable Logic and …, 2022
92022
Towards automatic and agile AI/ML accelerator design with end-to-end synthesis
JJ Zhang, NB Agostini, S Song, C Tan, A Limaye, V Amatya, J Manzano, ...
2021 IEEE 32nd International Conference on Application-specific Systems …, 2021
82021
The system can't perform the operation now. Try again later.
Articles 1–20