Takip et
Sai Subrahmanya Teja Nibhanupudi
Sai Subrahmanya Teja Nibhanupudi
Graduate Research Assistant, University of Texas at Austin
utexas.edu üzerinde doğrulanmış e-posta adresine sahip
Başlık
Alıntı yapanlar
Alıntı yapanlar
Yıl
Buried Power Rails and Back-side Power Grids: Arm® CPU Power Delivery Network Design Beyond 5nm
D Prasad, SST Nibhanupudi, S Das, O Zografos, B Chehab, S Sarkar, ...
2019 IEEE International Electron Devices Meeting (IEDM), 19.1. 1-19.1. 4, 2019
642019
A 12.08-TOPS/W all-digital time-domain CNN engine using bi-directional memory delay lines for energy efficient edge computing
A Sayal, SST Nibhanupudi, S Fathima, JP Kulkarni
IEEE Journal of Solid-State Circuits 55 (1), 60-75, 2019
532019
14.4 all-digital time-domain CNN engine using bidirectional memory delay lines for energy-efficient edge computing
A Sayal, S Fathima, SST Nibhanupudi, JP Kulkarni
2019 IEEE International Solid-State Circuits Conference-(ISSCC), 228-230, 2019
372019
COMPAC: Compressed time-domain, pooling-aware convolution CNN engine with reduced data movement for energy-efficient AI computing
A Sayal, S Fathima, SST Nibhanupudi, JP Kulkarni
IEEE Journal of Solid-State Circuits 56 (7), 2205-2220, 2020
212020
Ultra-low-voltage UTBB-SOI-based, pseudo-static storage circuits for cryogenic CMOS applications
SST Nibhanupudi, SRS Raman, M Cassé, L Hutin, JP Kulkarni
IEEE Journal on Exploratory Solid-State Computational Devices and Circuits 7 …, 2021
112021
A holistic evaluation of buried power rails and back-side power for sub-5 nm technology nodes
SST Nibhanupudi, D Prasad, S Das, O Zografos, A Robinson, A Gupta, ...
IEEE Transactions on Electron Devices 69 (8), 4453-4459, 2022
92022
Reconfigurable low-voltage hexagonal boron nitride nonvolatile switches for millimeter-wave wireless communications
SJ Yang, MM Dahan, O Levit, F Makal, P Peterson, J Alikpala, ...
Nano Letters 23 (4), 1152-1158, 2023
82023
Self-compliant threshold switching devices with high On/Off ratio by control of quantized conductance in Ag filaments
M Song, S Lee, SST Nibhanupudi, JV Singh, M Disiena, CJ Luth, S Wu, ...
Nano Letters 23 (7), 2952-2957, 2023
72023
Enabling In-memory computations in non-volatile SRAM designs
SRS Raman, SST Nibhanupudi, JP Kulkarni
IEEE Journal on Emerging and Selected Topics in Circuits and Systems 12 (2 …, 2022
72022
Threshold selector and capacitive coupled assist techniques for write voltage reduction in metal–ferroelectric–metal field-effect transistor
SRS Raman, SST Nibhanupudi, AK Saha, S Gupta, JP Kulkarni
IEEE Transactions on Electron Devices 68 (12), 6132-6138, 2021
72021
Phase transition material-assisted low-power SRAM design
SST Nibhanupudi, SRS Raman, JP Kulkarni
IEEE Transactions on Electron Devices 68 (5), 2281-2288, 2021
72021
Soft-FET: phase transition material assisted soft switching field effect transistor for supply voltage droop mitigation
S Teja, JP Kulkarni
Proceedings of the 55th Annual Design Automation Conference, 1-6, 2018
62018
Split-gate architecture for higher breakdown voltage in STI based LDMOS transistors
S Teja, M Bhoir, NR Mohapatra
2017 International Conference on Electron Devices and Solid-State Circuits …, 2017
52017
Fault tolerant tactile sensor arrays for prosthesis
S Teja, J Mekie, JJ Cabibihan, NV Thakor, SL Kukreja
2016 6th IEEE International Conference on Biomedical Robotics and …, 2016
42016
Statistical Analysis of 2T1R Gain-Cell RRAM Bitcell for Area Efficient, High-Performance, and Reliable Multi-level Cell Operation
R Mehra, SST Nibhanupudi, JP Kulkarni
2022 Device Research Conference (DRC), 1-2, 2022
32022
Neural Network Assisted Compact Model for Accurate Characterization of Cycle-to-cycle Variations in 2-D -BN based RRAM devices
JN Rohan, P Zhuang, SST Nibhanupudi, SK Banerjee, JP Kulkarni
2019 Device Research Conference (DRC), 103-104, 2019
32019
Ultra-fast switching memristors based on two-dimensional materials
SS Teja Nibhanupudi, A Roy, D Veksler, M Coupin, KC Matthews, ...
Nature Communications 15 (1), 2334, 2024
22024
High density NV-SRAM using memristor and selector as technology assist
SST Nibhanupudi, JP Kulkarni
2019 International Symposium on VLSI Technology, Systems and Application …, 2019
22019
Security robustness of buried power rail interconnect technology: Modeling, analysis and countermeasures
S Oruganti, N Gupta, SST Nibhanupudi, M Wang, JP Kulkarni
2023 IEEE 73rd Electronic Components and Technology Conference (ECTC), 1944-1951, 2023
12023
Experimental demonstration of sub-nanosecond switching in 2D hexagonal Boron Nitride resistive memory devices
SST Nibhanupudi, D Veksler, A Roy, M Coupin, KC Matthews, J Warner, ...
2022 Device Research Conference (DRC), 1-2, 2022
12022
Sistem, işlemi şu anda gerçekleştiremiyor. Daha sonra yeniden deneyin.
Makaleler 1–20