Takip et
Kanupriya (Kanu) Gulati
Kanupriya (Kanu) Gulati
Khosla Ventures, Harvard Business School, Intel Corporation
mba2015.hbs.edu üzerinde doğrulanmış e-posta adresine sahip
Başlık
Alıntı yapanlar
Alıntı yapanlar
Yıl
Towards acceleration of fault simulation using graphics processing units
K Gulati, SP Khatri
Proceedings of the 45th Annual Design Automation Conference, 822-827, 2008
1472008
Fast circuit simulation on graphics processing units
K Gulati, JF Croix, SP Khatri, R Shastry
2009 Asia and South Pacific Design Automation Conference, 403-408, 2009
992009
Accelerating statistical static timing analysis using graphics processing units
K Gulati, SP Khatri
2009 Asia and South Pacific Design Automation Conference, 260-265, 2009
812009
Hardware acceleration of EDA algorithms
K Gulati, SP Khatri
Springer, 2010
392010
FPGA-based hardware acceleration for Boolean satisfiability
K Gulati, S Paul, SP Khatri, S Patil, A Jas
ACM Transactions on Design Automation of Electronic Systems (TODAES) 14 (2 …, 2009
382009
Advanced Techniques in Logic Synthesis, Optimizations and Applications
SP Khatri, K Gulati
Springer, 2011
362011
Boolean satisfiability on a graphics processor
K Gulati, SP Khatri
Proceedings of the 20th symposium on Great lakes symposium on VLSI, 123-126, 2010
252010
Fault table computation on GPUs
K Gulati, SP Khatri
Journal of Electronic Testing 26, 195-209, 2010
242010
Efficient, scalable hardware engine for Boolean satisfiability and unsatisfiable core extraction
K Gulati, M Waghmode, SP Khatri, W Shi
IET Computers & Digital Techniques 2 (3), 214-229, 2008
222008
Network coding for routability improvement in VLSI
N Jayakumar, SP Khatri, K Gulati, A Sprintson
Proceedings of the 2006 IEEE/ACM international conference on Computer-aided …, 2006
172006
A structured ASIC design approach using pass transistor logic
K Gulati, N Jayakumar, SP Khatri
2007 IEEE International Symposium on Circuits and Systems, 1787-1790, 2007
162007
Minimizing and exploiting leakage in VLSI design
N Jayakumar, S Paul, R Garg
Springer Science & Business Media, 2009
142009
A probabilistic method to determine the minimum leakage vector for combinational designs in the presence of random PVT variations
K Gulati, N Jayakumar, SP Khatri, DMH Walker
Integration 41 (3), 399-412, 2008
122008
Fault table generation using graphics processing units
K Gulati, SP Khatri
2009 IEEE International High Level Design Validation and Test Workshop, 60-67, 2009
112009
GPU architecture and the CUDA programming model
K Gulati, SP Khatri, K Gulati, SP Khatri
Hardware Acceleration of EDA Algorithms: Custom ICs, FPGAs and GPUs, 23-30, 2010
102010
Improving FPGA routability using network coding
K Gulati, SP Khatri
Proceedings of the 18th ACM Great Lakes symposium on VLSI, 147-150, 2008
102008
Accelerating boolean satisfiability on a custom ic
K Gulati, SP Khatri, K Gulati, SP Khatri
Hardware Acceleration of EDA Algorithms: Custom ICs, FPGAs and GPUs, 33-61, 2010
82010
Highly parallel decoding of space-time codes on graphics processing units
KC Bollapalli, Y Wu, K Gulati, S Khatri, AR Calderbank
2009 47th Annual Allerton Conference on Communication, Control, and …, 2009
82009
An efficient, scalable hardware engine for Boolean satisfiability
M Waghmode, K Gulati, SP Khatri, W Shi
2006 International Conference on Computer Design, 326-331, 2006
72006
A probabilistic method to determine the minimum leakage vector for combinational designs
K Gulati, N Jayakumar, SP Khatri
2006 IEEE International Symposium on Circuits and Systems, 4 pp.-2244, 2006
72006
Sistem, işlemi şu anda gerçekleştiremiyor. Daha sonra yeniden deneyin.
Makaleler 1–20